‏إظهار الرسائل ذات التسميات arduino. إظهار كافة الرسائل
‏إظهار الرسائل ذات التسميات arduino. إظهار كافة الرسائل
الثلاثاء، 12 أبريل 2016

Arduino With motion sensor


برمجة الاردينوا :-
int motion = 5;

int motionLed = 7;

void setup() {//ok i need to state what each pin will be doing. the led pin will//be an output and the motion pin will be an input.
Serial.begin(9600);  

pinMode(motion, INPUT);

pinMode(motionLed, OUTPUT);}

void loop(){ //what will happen in the sketch //if motion is detected we want to turn the led light on //if no motion is detected turn the led off //you also need to declare a variable to hold the sensor data long sensor = digitalRead(motion); //then the if statement to control the led
 long sensor = digitalRead(motion);
if(sensor == HIGH){

digitalWrite (motionLed, HIGH); 
Serial.println(1);
}

else {

digitalWrite (motionLed, LOW);
Serial.println(0);
}

delay(2000);

}


الجمعة، 18 مارس 2016
الثلاثاء، 28 يوليو 2015

SmartHome

من التطبيقات العملية ل Smart Home نموذج مصغر يحتوي على LED الذي من ممكن ان يمثل اي جهاز كهربائي يمكن التحكم به من الحاسوب السخصي او الهاتف الذكي .. و بميزات اخرى يمكن اضافتها كما هو الحال مع قفل الباسورد
كما موضح بالصور :-
 باضافة الى الفديوا يوضح طريقة العمل :-
واخيرا تمت المشاركة بالمشروع ب نقابة المهندسين العراقية و حصول على شهادة تقديرية :) ;)

السبت، 28 فبراير 2015

Design First Application of Android

اول تطبيق لهواتف الذكية من تصميمي يتيح لك التحكم من نظام الاندرويد بالاردينوا عبر البلوتوث لتحكم بالاجهزة مختلفة 
فهنا تم التحكم بسيارة صغيرة بمختلف الاتجاهات و توقف  بالاضافة الى ضوء تشغيل و اطفاء
في حال اردت تحميل التطبيق من هنا 
معلومات عند برمجة الاردينوا  :
 الاعلى : w , الاسفل :z    و يمين : d   و يسار:  a  و توقف :  s   و تشغيل الضوء :  o  و الاطفاء : f  
 اما pinMode يمكنكم مراسلتي لمعرفة ارقامها 


الخميس، 16 أكتوبر 2014

Digital Inputs الادخال عبر منفذ الاردينوا

int ledPin = 5;
int buttonApin = 9;
int buttonBpin = 8;

byte leds = 0;

void setup() 
{
  pinMode(ledPin, OUTPUT);
  pinMode(buttonApin, INPUT_PULLUP);  
  pinMode(buttonBpin, INPUT_PULLUP);  
}

void loop() 
{
  if (digitalRead(buttonApin) == LOW)
  {
    digitalWrite(ledPin, HIGH);
  }
  if (digitalRead(buttonBpin) == LOW)
  {
    digitalWrite(ledPin, LOW);
  }
}

الأحد، 29 يونيو 2014

Control any device through computer

الحمد لله قد تحقق احد الافكار و هو السيطرة على الاجهزة كهربائية تعمل ب 220 فولت من خلال الحاسوب عبر برنامج من تصميمي ( اول برنامج لي بواجهة رسومية ^_^)   حيث يمثل بداية لتطبيقات smart home ...




لمشاهدة الفديوا :


الأحد، 27 أبريل 2014

example (nand,or and xor) in vhdl

NAND:-
library IEEE;
use IEEE.std_logic_1164.all ;
entity NANDGATE is
 port (a : in std_logic;
b : in std_logic;
x : out std_logic ) ;
end entity NANDGATE ;
architecture RTL of NANDGATE is
begin
 x<=a nand b;
end architecture RTL ;

OR:-
library IEEE;
use IEEE.std_logic_1164.all;
entity or is
port ( a : in std_logic;
b: in std_logic;
z: out std_logic ) ;
end or ;
architecture dataflow of or is
begin
z<= a or b;
end dataflow;

XOR:-
library IEEE;
use IEEE.std_logic_1164.all;
entity xor is
 port( a: in std_logic;
b:in std_logic;
z:out std_logic );
architecture dataflow of xor is
beginz<= [a and (not b) or (not a) and b ] ;
end dataflow ;

تعريف VHDL

VHDL is a hardware description language . it describes the behavior of an electronic circuit or system , from which the physical circuit or system can then be attained (implemented) .

once the vhdl code has been written , it can be used either to implement  the circuit in a programmable device (from Altra,Xilinx ,Atmel , etc)  or can be submitted to foundry for fabrication of an ASIC chip . Currently , many complex commercial chips (microcontrollers , for example) are designed using such an approach .

Basic VHDL Code:-

library declarations is To declare a Library (that is to make visible to the design) two lines of code are needed , one containing the name of the library , and the other a use clause , as shown in the syntax below .
LIBRARY library_name ;USE library_name.package_name.package_parts;


ENTITYentity is a list with specifications of all input and output pins (ports)of the circuit . its syntax is show below.
ENTITY entity_name is port (port_name: signal_mode signal_type;port_name: signal_mode signal_type;...... ) ;end entity_name;

architecture the architecture is a description of how the circuit should behave (function) . its syntax is the following:
ARCHITECTURE architecture_name of entity_name is [declaration] BEGIN (Code)end architecture_name;



الجمعة، 7 مارس 2014

ما هو الاردينوا ؟

هو عبارة عن لوحة الكترونية مفتوحة المصدر open hardware قابلة للبرمجة  لتطوير الكثير من الافكار و المشاريع المتعلقة بالتحكم الالى بصورة سهلة و بسيطة عن طريق لغة البرمجة كما فعلت بالتحكم بالسيارة في مشروعي (يمكنكم مشاهدته اضغط هنا)
للحصول على تفاصيل اكثر يمكنكم قرأة هذا الكتاب الرائع الذي يحتوي على نبذة مختصرة عن الاردينوا


يمكنكم تحميل الكتاب من الرابط :- Simply Arduino
انتظر 5 ثواني ثم skip
الخميس، 6 فبراير 2014

تصميم عداد او ساعة الكترونية بسيطة باستخدام الاردينوا

السلام عليكم و رحمة الله و بركاته 

الفكرة مأخوذة من رؤية الساعة الكترونية حيث اصبح بامكان تصميم ساعة الكترونية بنفسك من خلال برمجة لوحة الكترونية القابلة للبرمجة (الاردينوا) مع بعض توصيلات (Wire) و الضوء (LED) ... الفكرة لم يتطرق اليها سابقا او لو يسبق لي رؤيتها اذا جميع حقوق النشر محفوظة لمدونة احمد تكنو =D  ههههههه  :P

كما موضح بالفديوا :-




يمكن ترك تعليق اسفل التدوينة للاستفسار و اجابة على اسئلتكم قدر المستطاع